Introduction

An application transparent solution to eliminate NUMA effects on page-table walks

Summary

Multi-socket machines with 1-100 TBs of physical memory are becoming prevalent. Applications running on multi-socket machines are affected by non-uniform bandwidth and latency when accessing physical memory. Decades of research and production software has focused on data allocation and placement policies in NUMA settings, but there have been no studies on the question of how to place page-tables amongst sockets. Project "Mitosis" shows experimentally the overheads of mis-placement of page-tables in NUMA machines for both native and virtualized systems. We strive to provide an application transparent solution to eliminate these overheads. This work opens up a new area of research on managing page-tables within the operating system and hypervisor to improve application performance while running on NUMA machines.

Public Release: https://gandhijayneel.github.io/mitosis

Researchers

External Researchers

  • Abhishek Bhattacharjee
  • Arkaprava Basu
  • Ashish Panwar
  • Gopinath Kanchi
  • Reto Achermann
  • Timothy Roscoe